当前位置: 首页 > news >正文

四川省政府采购网招标官网潍坊seo招聘

四川省政府采购网招标官网,潍坊seo招聘,如何查询logo是否已经被注册,政协网站建设方案实验目的 PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端,PL_LED0 和 PL_LED1循环往复产生流水灯的效果,流水间隔时间为 0.5s。 原理图 程序设计 本次实验是需要实现两个LED的循环熄灭点亮,时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本…

实验目的

PL_LED0 和 PL_LED1 连接到 ZYNQ 的 PL 端,PL_LED0 和 PL_LED1循环往复产生流水灯的效果,流水间隔时间为 0.5s。

原理图

在这里插入图片描述

程序设计

本次实验是需要实现两个LED的循环熄灭点亮,时间间隔是0.5S,对时间间隔的控制使用计数器来完成。本次实验需要使用系统时钟,并且添加系统复位。所以可以得到下面的模块示意图。

在这里插入图片描述

板载的系统时钟是50MHZ,周期是20ns
我们需要的时间间隔是0.5s
计数器需要的时钟周期数是:0.5S/20ns = 25000000
所以计数器最大计数到25000000-1,就是0.5S

仿真代码tb_flow_led.v

`timescale 1ns / 1ns // 仿真单位 / 仿真时间module tb_flow_led();//声明了一个名为tb_flow_led的测试平台(Testbench)模块parameter CLK_PERIOD = 20;//系统时钟是50MHZ  周期是20nsreg sys_clk;
reg sys_rst_n;wire [1:0] led;//信号初始化
initial beginsys_clk <= 1'b0;sys_rst_n <= 1'b0;#200 //表示延迟 200 个时间单位sys_rst_n <= 1'b1;
end//产生时钟
always #(CLK_PERIOD/2) sys_clk = ~sys_clk;//例化待测设计
flow_led  u_flow_led(.sys_clk(sys_clk),.sys_rst_n(sys_rst_n),.led(led)
);
endmodule

仿真

在这里插入图片描述

新建工程

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
查看RTL原理图

在这里插入图片描述
约束管脚

在这里插入图片描述
ctrl + S 保存
在这里插入图片描述
这个可以看到约束文件
在这里插入图片描述
添加周期约束
将时钟周期设置为 20ns(对应 50MHz 的频率)。

# 创建时钟周期约束
create_clock -period 20.000 -name sys_clk [get_ports sys_clk]# IO 引脚约束
set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
set_property PACKAGE_PIN N16 [get_ports sys_rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
set_property PACKAGE_PIN L15 [get_ports {led[1]}]
set_property PACKAGE_PIN H15 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

生成bit文件
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
查看LED初始值
在这里插入图片描述
在这里插入图片描述

下载验证

先连接好线,再上电!!!
在这里插入图片描述
下载后确实可以看到LED交替闪烁

总结

1、主要还是熟悉流程和编写代码!
完成比完美更加重要

学习来源:正点原子

http://www.hotlads.com/news/6300.html

相关文章:

  • 南山做网站公司电商seo
  • 域名主机 网站建设如何建网站教程
  • 嘉善在线做网站吗软文营销写作技巧
  • wordpress多站点管理百度公司的业务范围
  • 深圳找工作哪个网站最靠谱抖音seo软件工具
  • wordpress drupal 慢优化落实疫情防控
  • 寻找网络公司做公司网站升级改版嘉兴新站seo外包
  • 有没有专门做二手的网站直播营销策划方案范文
  • 单纯做seo能否提升网站流量淘宝客怎么做推广
  • 顺德做网站shundeit市场调研的基本流程
  • 广州市政府门户网站的网址是今日头条新闻发布
  • 西安网站建设xazxcy广东seo网络培训
  • 网站开发助理做什么电商网站设计
  • 提供网站建设课程报告今日军事新闻头条最新
  • 东莞做网站设计制作搜索引擎最新排名
  • 产品经理如何做p2p网站改版宁波免费seo在线优化
  • 网站建设丶seo优化谷歌浏览器安卓下载
  • 深圳住房和建设局网站融悦居网络营销的优势和劣势
  • 如何租用服务器做网站整站优化 mail
  • 游戏自助充值网站怎么做四种基本营销模式
  • 网站描述标签怎么写企业网站推广方案的策划
  • 福州企业建站服务江苏搜索引擎优化
  • 商务网站开发开题报告网站seo源码
  • 用php建设一个简单的网站爱站网工具
  • 民治专业做网站公司怎么自己做网址
  • 淄博高端网站品牌seo培训咨询
  • 上海建设银行网站seo推广绩效考核指标是什么
  • 重庆做网站优化清远头条新闻
  • 做系统的网站上海关键词优化外包
  • 网站做的二维码失效了成人教育培训机构十大排名